[cig-commits] r8075 - doc/CitcomS/manual

tan2 at geodynamics.org tan2 at geodynamics.org
Wed Oct 3 23:10:03 PDT 2007


Author: tan2
Date: 2007-10-03 23:10:01 -0700 (Wed, 03 Oct 2007)
New Revision: 8075

Modified:
   doc/CitcomS/manual/citcoms.lyx
Log:
Updated the appendix for new input parameters, input/output file format

Modified: doc/CitcomS/manual/citcoms.lyx
===================================================================
--- doc/CitcomS/manual/citcoms.lyx	2007-10-03 02:56:39 UTC (rev 8074)
+++ doc/CitcomS/manual/citcoms.lyx	2007-10-04 06:10:01 UTC (rev 8075)
@@ -9835,7 +9835,7 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="4" columns="2">
+<lyxtabular version="3" rows="5" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -9847,6 +9847,68 @@
 
 \family typewriter
 \size small
+reference_state=1
+\newline
+refstate_file="refstate.dat"
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+If 
+\family typewriter
+\size small
+reference_state
+\family default
+\size default
+ is set to 
+\family typewriter
+\size small
+1
+\family default
+\size default
+, a simple reference state of 
+\begin_inset Formula $\rho_{r}=\exp\left((1-r)D_{i}/\gamma\right)$
+\end_inset
+
+is used, with constant gravity, thermal expansivity, and heat capacity.
+ If 
+\family typewriter
+\size small
+reference_state
+\family default
+\size default
+ is set to 
+\family typewriter
+\size small
+0
+\family default
+\size default
+, the reference state is read from a file 
+\family typewriter
+\size small
+refstate_file
+\size default
+.
+
+\family default
+ 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 file_vbcs=off
 \newline
 vel_bound_file="bvel.dat"
@@ -9908,7 +9970,7 @@
 
 \family typewriter
 \size small
-coor=off
+coor=0
 \family default
 \size default
 
@@ -9917,6 +9979,8 @@
 \family typewriter
 \size small
 coor_file="coor.dat"
+\newline
+coor_refine=0.1,0.15,0.1,0.2
 \end_layout
 
 \end_inset
@@ -9929,14 +9993,8 @@
 \family typewriter
 coor
 \family default
- is set to 
-\family typewriter
-\size small
-off
-\family default
-\size default
-, then there will be uniform mesh in the latitudinal, longitudinal, and
- radial directions.
+ is set to 0, then there will be uniform mesh in the latitudinal, longitudinal,
+ and radial directions.
  If you wish to have a regular, but uneven, spacing between elements, 
 \family typewriter
 coor
@@ -9944,7 +10002,7 @@
  should be set to 
 \family typewriter
 \size small
-on
+1
 \family default
 \size default
 .
@@ -9955,6 +10013,34 @@
 \family default
 \size default
 .
+ If 
+\family typewriter
+\size small
+coor
+\family default
+\size default
+ is set to 
+\size small
+2
+\size default
+, then there will be uniform mesh in the latitudinal and longitudinal directions.
+ The mesh in the radial direction is generated according to 
+\family typewriter
+\size small
+coor_refine
+\family default
+\size default
+, which is a vector of 4 numbers.
+ The 1st value of 
+\family typewriter
+\size small
+coor_refine
+\family default
+\size default
+ specifies the radius fraction fo the bottom layer, the 2nd value specifies
+ the fraction of the nodes in the bottom layer, the 3rd values specifies
+ the top layer fraction, and the last value specifies the top layer node
+ fraction.
 \end_layout
 
 \end_inset
@@ -10127,6 +10213,12 @@
 ascii
 \family default
 \size default
+, 
+\family typewriter
+\size small
+ascii-gz
+\family default
+\size default
  or 
 \family typewriter
 \size small
@@ -10134,38 +10226,26 @@
 \family default
 \size default
 .
-\end_layout
-
-\end_inset
-</cell>
-</row>
-<row topline="true">
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
-\begin_inset Text
-
-\begin_layout Standard
-
+ If 
 \family typewriter
-output_format-ascii-gz
-\end_layout
-
-\end_inset
-</cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
-\begin_inset Text
-
-\begin_layout Standard
-Can be selected if gzdir I/O is enabled (-DUSE_GZDIR).
- This places gzipped files into 
+\size small
+ascii-gz
+\family default
+\size default
+ is chosen, the code places gzipped files into 
 \family typewriter
+\size small
 data_dir
 \family default
+\size default
 , and will put all timestep output into subdirectories of 
 \family typewriter
+\size small
 data_dir
 \family default
+\size default
 .
- The same naming logic holds for restart files.
+ The same naming logic holds for reading old velo files.
 \end_layout
 
 \end_inset
@@ -10186,7 +10266,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -10229,7 +10309,7 @@
 , 
 \family typewriter
 \size small
-horiz_avg, tracer, comp_el
+horiz_avg, tracer, heating, comp_el
 \family default
 \size default
  and
@@ -10330,7 +10410,7 @@
 
 \family typewriter
 \size small
-monitoringFrequency=10
+monitoringFrequency=100
 \family default
 \size default
 
@@ -10364,6 +10444,30 @@
 
 \family typewriter
 \size small
+checkpointFrequency=100
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The time step interval between checkpoint output, which can be used later
+ to resume the computation.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row bottomline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 output_ll_max=20
 \end_layout
 
@@ -10692,7 +10796,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="4" columns="2">
+<lyxtabular version="3" rows="3" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -10723,7 +10827,7 @@
 on
 \family default
 \size default
-, each processor will read its initial temperature field from a velo file.
+, each processor will resume the computation from the checkpoint files.
 \end_layout
 
 \end_inset
@@ -10742,7 +10846,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -10757,7 +10861,7 @@
 \end_inset
 </cell>
 </row>
-<row topline="true">
+<row topline="true" bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
@@ -10774,7 +10878,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -10788,10 +10892,10 @@
 on
 \family default
 \size default
-, for example, processor #5 will read its initial temperature field form
+, for example, processor #5 will read its initial conditions from checkpoint
  file 
 \family typewriter
-regtest.velo.5.0
+regtest.chkpt.5.0
 \family default
  in this case.
  
@@ -10800,56 +10904,6 @@
 \end_inset
 </cell>
 </row>
-<row topline="true" bottomline="true">
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
-\begin_inset Text
-
-\begin_layout Standard
-
-\family typewriter
-\size small
-zero_elapsed_time=on
-\end_layout
-
-\end_inset
-</cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
-\begin_inset Text
-
-\begin_layout Standard
-If 
-\family typewriter
-zero_elapsed_time
-\family default
- is 
-\family typewriter
-\size small
-on
-\family default
-\size default
-, the initial time is set to zero.
- If it is 
-\family typewriter
-\size small
-off
-\family default
-\size default
- and 
-\family typewriter
-restart
-\family default
- is 
-\family typewriter
-\size small
-on
-\family default
-\size default
-, the initial time is read in from previous output files.
-\end_layout
-
-\end_inset
-</cell>
-</row>
 </lyxtabular>
 
 \end_inset
@@ -10960,7 +11014,7 @@
 \begin_layout Standard
 \align left
 \begin_inset Tabular
-<lyxtabular version="3" rows="3" columns="2">
+<lyxtabular version="3" rows="4" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -10993,6 +11047,151 @@
 \begin_inset Text
 
 \begin_layout Standard
+
+\family typewriter
+\size small
+datadir_old="."
+\newline
+datafile_old="regtest"
+\newline
+solution_cycles_init=0
+\newline
+zero_elapsed_time=on
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+If 
+\family typewriter
+\size small
+tic_method=-1
+\family default
+\size default
+, the initial temperature is read from files.
+ For example, processor #5 will read its initial temperature from old velo
+ file 
+\family typewriter
+\size small
+regtest.velo.5.0
+\family default
+\size default
+ in this case.
+ 
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+If 
+\family typewriter
+\series default
+\shape default
+\size small
+\emph default
+\bar default
+\noun default
+\color inherit
+zero_elapsed_time
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+ is 
+\family typewriter
+\series default
+\shape default
+\size small
+\emph default
+\bar default
+\noun default
+\color inherit
+on
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+, the initial time is set to zero.
+ If it is 
+\family typewriter
+\series default
+\shape default
+\size small
+\emph default
+\bar default
+\noun default
+\color inherit
+off
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+ and 
+\family typewriter
+\series default
+\shape default
+\size small
+\emph default
+\bar default
+\noun default
+\color inherit
+tic_method=-1
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+, the initial time is read in from the old velo files.
+ Note that this option has no effect when 
+\family typewriter
+\series default
+\shape default
+\size small
+\emph default
+\bar default
+\noun default
+\color inherit
+restart=on
+\family roman
+\series medium
+\shape up
+\size normal
+\emph off
+\bar no
+\noun off
+\color none
+.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row bottomline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
 \align left
 
 \family typewriter
@@ -11110,11 +11309,12 @@
 \newline
 mantle_temp=1.0
 \newline
-blob_center
+blob_center=[-999,-999,-999]
 \newline
 blob_radius=0.063
 \newline
-blob_dT=0.18
+blob_
+dT=0.18
 \end_layout
 
 \end_inset
@@ -11272,6 +11472,13 @@
 
 \begin_layout Standard
 Enable traction boundary condition for the sidewalls or not.
+ Must be 
+\family typewriter
+\size small
+on
+\family default
+\size default
+ for coupled model.
 \end_layout
 
 \end_inset
@@ -11424,7 +11631,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="2" columns="2">
+<lyxtabular version="3" rows="5" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -11452,6 +11659,78 @@
 \end_inset
 </cell>
 </row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+dissipation_number=0.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The dissipation number.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+gruneisen=0.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The Gruneisen parameter.
+ When this parameter is 0, the code treats it as infinity (i.e.
+ incompressible case).
+ 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+surfaceT=0.1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The non-dimensional value of surface temperature.
+\end_layout
+
+\end_inset
+</cell>
+</row>
 <row topline="true" bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -11750,7 +12029,7 @@
 
 \family typewriter
 \size small
-rheol=3 
+rheol=3
 \end_layout
 
 \end_inset
@@ -11819,9 +12098,10 @@
 \end_inset
 
 
-\end_layout
+\newline
 
-\begin_layout Standard
+\family default
+\size default
 When 
 \family typewriter
 rheol
@@ -11833,23 +12113,16 @@
 \begin_inset Formula $\eta=\eta_{0}\times\exp(\eta_{E}(\eta_{T}-T)+(1-z)\eta_{Z})$
 \end_inset
 
- 
-\end_layout
 
-\begin_layout Standard
+\family default
+\size default
+
+\newline
 (Note: 
 \family typewriter
 rheol=5
 \family default
- is undocumented) TODO: find out 
-\begin_inset Quotes sld
-\end_inset
-
-5
-\begin_inset Quotes srd
-\end_inset
-
-
+ is undocumented) 
 \end_layout
 
 \end_inset
@@ -11933,7 +12206,7 @@
 \size small
 sdepv_expt=1,1,1,1
 \newline
-sdepv_misfit=0.02
+sdepv_misfit=0.001
 \end_layout
 
 \end_inset
@@ -11944,14 +12217,18 @@
 \begin_layout Standard
 If 
 \family typewriter
+\size small
 SDEPV
 \family default
+\size default
  is on, these specify the exponent in the viscosity law and the criterion
  of convergence test.
  There must be as many entries as 
 \family typewriter
+\size small
 num_mat
 \family default
+\size default
  in a comma-separated list.
 \end_layout
 
@@ -11965,7 +12242,8 @@
 \begin_layout Standard
 
 \family typewriter
-PDEPV=off 
+\size small
+PDEPV=off
 \end_layout
 
 \end_inset
@@ -11974,8 +12252,8 @@
 \begin_inset Text
 
 \begin_layout Standard
-Pseudo-plastic rheology, implemented by adding a plastic viscosity
-\begin_inset Formula $\eta_{p}=\frac{\sigma_{y}}{\left(2eps_{II}+10^{-7}\right)+\eta_{p}^{0}}$
+Pseudo-plastic rheology, implemented by adding a plastic viscosity 
+\begin_inset Formula $\eta_{p}=\frac{\sigma_{y}}{\left(2\epsilon_{II}+10^{-7}\right)+\eta_{p}^{0}}$
 \end_inset
 
  where the yield stress is defined as 
@@ -11983,7 +12261,7 @@
 \end_inset
 
  and 
-\begin_inset Formula $eps_{II}$
+\begin_inset Formula $\epsilon_{II}$
 \end_inset
 
  is the second shear strain rate invariant (all non-dimensional).
@@ -12060,6 +12338,7 @@
 \begin_layout Standard
 
 \family typewriter
+\size small
 pdepv_eff=on
 \end_layout
 
@@ -12082,6 +12361,7 @@
 \begin_layout Standard
 
 \family typewriter
+\size small
 pdepv_offset=0
 \end_layout
 
@@ -12108,6 +12388,7 @@
 \begin_layout Standard
 
 \family typewriter
+\size small
 CDEPV=off
 \end_layout
 
@@ -12117,7 +12398,13 @@
 \begin_inset Text
 
 \begin_layout Standard
-Compositionally dependent viscosity pre-factor (only for tracer=on).
+Compositionally dependent viscosity pre-factor (only for 
+\family typewriter
+\size small
+tracer=on
+\family default
+\size default
+).
  Will assign two pre-multipliers for 
 \begin_inset Formula $\mathrm{C}=0$
 \end_inset
@@ -12145,6 +12432,7 @@
 \begin_layout Standard
 
 \family typewriter
+\size small
 cdepv_ff=1,1
 \end_layout
 
@@ -12445,7 +12733,7 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="8" columns="2">
+<lyxtabular version="3" rows="9" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -12572,26 +12860,36 @@
 Multigrid parameters.
  
 \family typewriter
-mg_cycle
+\size small
+mg_cycle=1
 \family default
-=1 for V cycle and 2 for W cycle.
+\size default
+ for V cycle and 2 for W cycle.
  
 \family typewriter
+\size small
 down_heavy
 \family default
+\size default
  and 
 \family typewriter
+\size small
 up_heavy
 \family default
+\size default
  are the smoothing factors for downward/upward smoothing.
  
 \family typewriter
+\size small
 vlowstep
 \family default
+\size default
  and 
 \family typewriter
+\size small
 vhighstep
 \family default
+\size default
  are the number of smoothing passes at lowest/highest levels.
 \end_layout
 
@@ -12655,6 +12953,53 @@
 
 \family typewriter
 \size small
+uzawa=cg
+\newline
+compress_iter_maxstep=100
+\newline
+relative_err_accuracy=0.001
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+Can be either 
+\family typewriter
+\size small
+cg
+\family default
+\size default
+ or 
+\family typewriter
+\size small
+bicg
+\family default
+\size default
+.
+ If set to 
+\family typewriter
+\size small
+cg
+\family default
+\size default
+, additional parameters control the maximum iterations and desired accuracy
+ for the solver.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 precond=on
 \end_layout
 
@@ -12707,7 +13052,7 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="6" columns="2">
+<lyxtabular version="3" rows="7" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -12742,7 +13087,7 @@
 
 \family typewriter
 \size small
-fixed_timestep=0.0 
+fixed_timestep=0.0
 \end_layout
 
 \end_inset
@@ -12810,7 +13155,7 @@
 \end_inset
 </cell>
 </row>
-<row topline="true" bottomline="true">
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
@@ -12818,7 +13163,7 @@
 
 \family typewriter
 \size small
-filter_temp=on
+filter_temp=off
 \end_layout
 
 \end_inset
@@ -12827,12 +13172,41 @@
 \begin_inset Text
 
 \begin_layout Standard
-Filter out the overshoots and undershoots of the temperature field or not.
+Using a Lenardic filter to remove the overshoots and undershoots of the
+ temperature field or not.
+ The filter conserves the total energy.
 \end_layout
 
 \end_inset
 </cell>
 </row>
+<row topline="true" bottomline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+monitor_max_T=on
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+If on, the maximum value of the current and previous temperature fields
+ are compared.
+ If the maximum temperature increases more than 5%, the energy equation
+ solver is rerun with a smaller time step size.
+ Keep this parameter on to prevent numerical instability.
+\end_layout
+
+\end_inset
+</cell>
+</row>
 <row bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -12936,18 +13310,8 @@
 \size default
  and 
 \family typewriter
-restart
+tic_method=-1
 \family default
- or 
-\family typewriter
-post_p
-\family default
- is 
-\family typewriter
-\size small
-on
-\family default
-\size default
 , the initial age is read in from previous output.
  
 \end_layout
@@ -13202,7 +13566,7 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="9" columns="2">
+<lyxtabular version="3" rows="10" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -13247,7 +13611,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13311,7 +13675,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13337,7 +13701,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13408,7 +13772,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13431,7 +13795,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13467,7 +13831,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -13477,6 +13841,29 @@
 \end_inset
 </cell>
 </row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+itracer_warnings=on
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The warning level of tracer module.
+\end_layout
+
+\end_inset
+</cell>
+</row>
 <row topline="true" bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -13485,7 +13872,9 @@
 
 \family typewriter
 \size small
-reset_initial_composition=off
+tracer_enriched=off
+\newline
+Q0_enriched=0.0
 \end_layout
 
 \end_inset
@@ -13494,14 +13883,14 @@
 \begin_inset Text
 
 \begin_layout Standard
-Whether to reset the initial bulk composition or use the restarted value.
- Used when 
+Whether the composition anomaly is associated with radioactive heating anomaly.
+ If 
 \family typewriter
 \size small
-tracer_ic_method=
+on
 \family default
 \size default
-2.
+, specify the internal heating number inside the composition anomaly.
 \end_layout
 
 \end_inset
@@ -13550,8 +13939,6 @@
 density_above=1030.0
 \newline
 density_below=6600.0
-\newline
-surftemp=273
 \end_layout
 
 \end_inset
@@ -13661,7 +14048,7 @@
 \begin_inset Text
 
 \begin_layout Standard
-How many time steps to run, including the 0th time step.
+How many time steps to run.
 \end_layout
 
 \end_inset
@@ -14197,11 +14584,11 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="1" columns="2">
+<lyxtabular version="3" rows="2" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
-<row topline="true" bottomline="true">
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
@@ -14209,7 +14596,7 @@
 
 \family typewriter
 \size small
-monitoringFrequency=10
+monitoringFrequency=100
 \end_layout
 
 \end_inset
@@ -14229,6 +14616,30 @@
 \end_inset
 </cell>
 </row>
+<row topline="true" bottomline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+checkpointFrequency=100
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+The time step interval between checkpoint output, which can be used later
+ to resume the computation.
+\end_layout
+
+\end_inset
+</cell>
+</row>
 </lyxtabular>
 
 \end_inset
@@ -14245,7 +14656,7 @@
 
 \begin_layout Standard
 \begin_inset Tabular
-<lyxtabular version="3" rows="19" columns="2">
+<lyxtabular version="3" rows="22" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -14423,7 +14834,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -14515,7 +14926,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -14561,7 +14972,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -14625,6 +15036,75 @@
 
 \family typewriter
 \size small
+dissipation_number=0.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+gruneisen=0.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+surfaceT=0.1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 Q0=0
 \end_layout
 
@@ -14726,7 +15206,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="16" columns="2">
+<lyxtabular version="3" rows="17" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -14831,7 +15311,7 @@
 
 \family typewriter
 \size small
-coor=off
+coor=0
 \end_layout
 
 \end_inset
@@ -14877,6 +15357,29 @@
 
 \family typewriter
 \size small
+coor_refine=0.1,0.15,0.1,0.2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 nodex=9
 \end_layout
 
@@ -15116,7 +15619,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="6" columns="2">
+<lyxtabular version="3" rows="8" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -15151,7 +15654,7 @@
 
 \family typewriter
 \size small
-filter_temp=on
+filter_temp=off
 \end_layout
 
 \end_inset
@@ -15174,6 +15677,29 @@
 
 \family typewriter
 \size small
+monitor_max_T=on
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 finetunedt=0.9
 \end_layout
 
@@ -15235,6 +15761,29 @@
 \end_inset
 </cell>
 </row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+adv_gamma=0.5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
 <row topline="true" bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -15275,7 +15824,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="13" columns="2">
+<lyxtabular version="3" rows="16" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -15399,9 +15948,75 @@
 \begin_inset Text
 
 \begin_layout Standard
+uzawa=cg
+\end_layout
 
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
 \family typewriter
 \size small
+compress_iter_maxstep=100
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+relative_err_accuracy=0.001
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 mg_cycle=1 
 \end_layout
 
@@ -15961,7 +16576,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="14" columns="2">
+<lyxtabular version="3" rows="13" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="1.85in">
 <column alignment="left" valignment="top" rightline="true" width="4.25in">
@@ -16162,15 +16777,38 @@
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
 
+\family typewriter
+\size small
+z_lith=0.014
 \end_layout
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
 </row>
 <row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
@@ -16180,7 +16818,7 @@
 
 \family typewriter
 \size small
-surftemp=273.0
+z_410=0.06435
 \end_layout
 
 \end_inset
@@ -16203,7 +16841,7 @@
 
 \family typewriter
 \size small
-z_lith=0.014
+z_lmantle=0.105
 \end_layout
 
 \end_inset
@@ -16218,6 +16856,50 @@
 \end_inset
 </cell>
 </row>
+<row topline="true" bottomline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+z_cmb=0.439
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+
+\family typewriter
+\size normal
+solver.ic 
+\end_layout
+
+\begin_layout Standard
+\noindent
+\begin_inset Tabular
+<lyxtabular version="3" rows="14" columns="2">
+<features>
+<column alignment="left" valignment="top" leftline="true" width="1.85in">
+<column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
 <row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -16226,7 +16908,7 @@
 
 \family typewriter
 \size small
-z_410=0.06435
+restart=off
 \end_layout
 
 \end_inset
@@ -16249,7 +16931,7 @@
 
 \family typewriter
 \size small
-z_lmantle=0.105
+post_p=off
 \end_layout
 
 \end_inset
@@ -16264,7 +16946,7 @@
 \end_inset
 </cell>
 </row>
-<row topline="true" bottomline="true">
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
@@ -16272,7 +16954,7 @@
 
 \family typewriter
 \size small
-z_cmb=0.439
+solution_cycles_init=0
 \end_layout
 
 \end_inset
@@ -16287,27 +16969,52 @@
 \end_inset
 </cell>
 </row>
-</lyxtabular>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
 
+\begin_layout Standard
+
+\family typewriter
+\size small
+zero_elapsed_time=on
+\end_layout
+
 \end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
 
+\begin_layout Standard
 
 \end_layout
 
-\begin_layout Subsection
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
 
+\begin_layout Standard
+
 \family typewriter
-\size normal
-solver.ic 
+\size small
+tic_method=0
 \end_layout
 
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
 \begin_layout Standard
-\noindent
-\begin_inset Tabular
-<lyxtabular version="3" rows="9" columns="2">
-<features>
-<column alignment="left" valignment="top" leftline="true" width="1.85in">
-<column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
 <row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
@@ -16316,7 +17023,7 @@
 
 \family typewriter
 \size small
-restart=off
+num_perturbations=1
 \end_layout
 
 \end_inset
@@ -16339,7 +17046,7 @@
 
 \family typewriter
 \size small
-post_p=off
+perturbl=1
 \end_layout
 
 \end_inset
@@ -16362,7 +17069,7 @@
 
 \family typewriter
 \size small
-solution_cycles_init=0
+perturbm=1
 \end_layout
 
 \end_inset
@@ -16385,7 +17092,7 @@
 
 \family typewriter
 \size small
-zero_elapsed_time=on
+perturblayer=5
 \end_layout
 
 \end_inset
@@ -16408,7 +17115,7 @@
 
 \family typewriter
 \size small
-num_perturbations=1
+perturbmag=0.05
 \end_layout
 
 \end_inset
@@ -16431,7 +17138,7 @@
 
 \family typewriter
 \size small
-perturbl=1
+half_space_age=40
 \end_layout
 
 \end_inset
@@ -16454,7 +17161,7 @@
 
 \family typewriter
 \size small
-perturbm=1
+blob_center=[-999,-999,-999] 
 \end_layout
 
 \end_inset
@@ -16477,7 +17184,7 @@
 
 \family typewriter
 \size small
-perturblayer=5 
+blob_radius=0.063 
 \end_layout
 
 \end_inset
@@ -16500,7 +17207,7 @@
 
 \family typewriter
 \size small
-perturbmag=0.05
+blob_dT=0.18
 \end_layout
 
 \end_inset
@@ -16543,7 +17250,7 @@
 
 \family typewriter
 \size small
-output_format="ascii-local"
+output_format="ascii"
 \end_layout
 
 \end_inset
@@ -16566,7 +17273,7 @@
 
 \family typewriter
 \size small
-output_optional="surf,botm"
+output_optional="surf,botm,tracer,comp_el"
 \end_layout
 
 \end_inset
@@ -16811,7 +17518,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="11" columns="2">
+<lyxtabular version="3" rows="13" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -16823,6 +17530,52 @@
 
 \family typewriter
 \size small
+reference_state=1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+refstate_file="refstate.dat"
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 file_vbcs=off
 \end_layout
 
@@ -17382,7 +18135,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="13" columns="2">
+<lyxtabular version="3" rows="15" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="1.85in">
 <column alignment="left" valignment="top" rightline="true" width="4.25in">
@@ -17422,7 +18175,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17445,7 +18198,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17468,7 +18221,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17491,7 +18244,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17514,7 +18267,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17537,15 +18290,38 @@
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
 
+\family typewriter
+\size small
+itracer_warnings=on
 \end_layout
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
 </row>
 <row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
@@ -17560,7 +18336,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17583,7 +18359,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17606,7 +18382,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17629,7 +18405,7 @@
 
 \end_inset
 </cell>
-<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
@@ -17652,15 +18428,38 @@
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
 \begin_inset Text
 
 \begin_layout Standard
 
+\family typewriter
+\size small
+tracer_enriched=off
 \end_layout
 
 \end_inset
 </cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
 </row>
 <row topline="true" bottomline="true">
 <cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
@@ -17670,7 +18469,7 @@
 
 \family typewriter
 \size small
-reset_initial_composition=off
+Q0_enriched=0.0
 \end_layout
 
 \end_inset
@@ -17702,7 +18501,7 @@
 \begin_layout Standard
 \noindent
 \begin_inset Tabular
-<lyxtabular version="3" rows="22" columns="2">
+<lyxtabular version="3" rows="31" columns="2">
 <features>
 <column alignment="left" valignment="top" leftline="true" width="1.85in">
 <column alignment="left" valignment="top" leftline="true" rightline="true" width="4.25in">
@@ -17898,7 +18697,7 @@
 
 \family typewriter
 \size small
-viscT=1,1,1,1, 
+viscT=1,1,1,1,
 \end_layout
 
 \end_inset
@@ -17921,6 +18720,29 @@
 
 \family typewriter
 \size small
+viscZ=1,1,1,1,
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 SDEPV=off
 \end_layout
 
@@ -17944,7 +18766,7 @@
 
 \family typewriter
 \size small
-sdepv_misfit=0.02
+sdepv_misfit=0.001
 \end_layout
 
 \end_inset
@@ -17990,6 +18812,190 @@
 
 \family typewriter
 \size small
+PDEPV=off
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+pdepv_a=1e20,1e20,1e20,1e20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+pdepv_b=0,0,0,0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+pdepv_y=1e20,1e20,1e20,1e20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+pdepv_eff=on
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+pdepv_offset=0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+CDEPV=off
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
+cdepv_ff=1,1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row topline="true">
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Standard
+
+\family typewriter
+\size small
 low_visc_channel=0
 \end_layout
 
@@ -18377,7 +19383,7 @@
 \newline
 
 \newline
-The journal facility is not used in the uncoupled CitComS solver.
+The journal facility is only used in the coupled CitComS solver.
  For coupled solvers, there is a large amount of debugging information that
  outputs through the journal facility.
  That output can be turned on/off with command line options.
@@ -18398,7 +19404,11 @@
 \begin_layout LyX-Code
 
 \family typewriter
---journal.debug.Exchanger=on --journal.debug.CitComSExchanger=on 
+[CitcomS.journal.debug]
+\newline
+Exchanger = on
+\newline
+CitcomS-Exchanger = on
 \end_layout
 
 \begin_layout Chapter
@@ -18857,6 +19867,43 @@
 theta phi radius [flavor]
 \end_layout
 
+\begin_layout Section
+Reference State Files
+\end_layout
+
+\begin_layout Standard
+This file contains the profiles of the reference state.
+ This file must contain at least 
+\family typewriter
+\size small
+nodez
+\family default
+\size default
+ lines, and each line must contain 7 columns, where the meaning of each
+ column is: 
+\end_layout
+
+\begin_layout LyX-Code
+
+\series bold
+\begin_inset Formula $\rho_{r}$
+\end_inset
+
+ 
+\begin_inset Formula $g$
+\end_inset
+
+ 
+\begin_inset Formula $\alpha$
+\end_inset
+
+ 
+\begin_inset Formula $c_{P}$
+\end_inset
+
+ reserved reserved reserved
+\end_layout
+
 \begin_layout Chapter
 \begin_inset LatexCommand label
 name "cha:Appendix-C:-CitComS,"



More information about the cig-commits mailing list